CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - verilog hdl

搜索资源列表

  1. opencores_coding_guidelines

    0下载:
  2. Opencores.org HDL coding guideines. Useful VHDL/Verilog coding.
  3. 所属分类:software engineering

    • 发布日期:2017-04-16
    • 文件大小:244582
    • 提供者:ifusmell
  1. sopc_led

    0下载:
  2. 基于可编程片上系统设计 7位LED指示 HDL 语言-SOPC-LED based on verilog
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:965983
    • 提供者:FELICITY
  1. Principles-of-Verifiable-RTL-Design

    0下载:
  2. 本书主要以HDL(verilog/vhdl)为例,详细讲述了在IC DESIGN FLOW中 Verification 以及Test的设计思想、方法和技巧,涵概了测试的各个方面, 是目前进行IC设计的同仁们最为推荐的一本宝典-Book HDL (verilog/vhdl), a detailed account of the IC DESIGN FLOW, Verification and Test of design ideas, methods and techniques, and
  3. 所属分类:Project Design

    • 发布日期:2017-11-18
    • 文件大小:3880808
    • 提供者:杨明
  1. Principles-of-Verifiable-RTL-Design

    0下载:
  2. 本书主要以HDL(verilog/vhdl)为例,详细讲述了在IC DESIGN FLOW中 Verification 以及Test的设计思想、方法和技巧,涵概了测试的各个方面, 是目前进行IC设计的同仁们最为推荐的一本宝典-(Kluwer) Principles of Verifiable RTL Design (2nd Ed.)
  3. 所属分类:Project Design

    • 发布日期:2017-05-15
    • 文件大小:3908276
    • 提供者:周励
  1. QPSK_modulation

    1下载:
  2. 利用FPGA实现QPSK数字调制。编程采用Verilog HDL语言。-By using the FPGA realization of QPSK digital modulation. Use Verilog HDL language programming.
  3. 所属分类:software engineering

    • 发布日期:2016-10-02
    • 文件大小:2048
    • 提供者:杨晓
  1. VGA全驱动

    0下载:
  2. 里面有关于FPGA设计的VGA的相应实验说明,以及相关代码
  3. 所属分类:编程文档

  1. AD9226

    0下载:
  2. Verilog HDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语-assd
  3. 所属分类:software engineering

    • 发布日期:2017-05-04
    • 文件大小:345146
    • 提供者:yiwu
  1. wallace4bitdemo

    0下载:
  2. Wallace nultiplier design using 3-2 compressor based on universal gates. verilog HDL is used to design this multiplier
  3. 所属分类:Project Design

    • 发布日期:2017-12-12
    • 文件大小:10205600
    • 提供者:isi
  1. 基于FPGA的串口通信系统

    0下载:
  2. 该设计是基于 FPGA 的串口通信系统模拟仿真,通过对 RS-232 串行总线 接口的设计,掌握发送与接收电路的基本思路,并进行串口通信。采用 Verilog HDL 语言对 UART 波特率产生模块、数据发送模块、接收模块进行硬件描述, 再将其整合为一个 RS-232 收发模块,最终在顶层模块中将两个 RS-232 模块例 化,实现两块 FPGA 芯片全双工通信的设计。(Design of serial communication system based on FPGA)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-19
    • 文件大小:578560
    • 提供者:小可大本
  1. 18.基于2.4GHz的数字基带系统设计与实现

    1下载:
  2. 首先设计了 2.4GHz 数字基带系统的架构,该架构包括模拟前端、数字 基带、寄存器、协议处理和 I/O 等模块,其中,数字基带模块由发送子系统和接收 子系统构成。基于该架构,使用 Verilog HDL (hardware descr iption language,硬件 描述语言)设计了数字基带发送子系统,该发送子系统由 PPDU、symbol-to-chip、 chip-to-precode、 CRC 和白化五个模块组成,采用 symbol-to-chip 和 chip-to-pr
  3. 所属分类:文档资料

  1. 数字系统设计与Verilog HDL

    0下载:
  2. 经典的FPGA教程,从入门到提高再到精通。(Classic FPGA tutorial, from entry to improve, and then proficient)
  3. 所属分类:文章/文档

    • 发布日期:2017-12-18
    • 文件大小:9422848
    • 提供者:南望书生
  1. 可编程逻辑器件实验指导

    0下载:
  2. 该书详细介绍了十个eda实验,难度由浅入深,是非常优秀的实验指导书籍,本书基于Verilog hdl。另有vhdl版本。
  3. 所属分类:编程文档

  1. 用于光纤传感的干涉解调方法

    0下载:
  2. 主要是光纤传感器PGC解调算法,工作原理及实现结构,研究了相位生成载波调制解调原理,解调系统技术指标。 Vivado和Linux开发环境下,使用Verilog HDL语言和C语言通
  3. 所属分类:报告论文

    • 发布日期:2020-04-30
    • 文件大小:17716174
    • 提供者:1450211570
« 1 2 3 4 5 6 7»
搜珍网 www.dssz.com